Error in place design stage [DRC HDPR-6] Logic illegally placed:

0

Hi ,
I am creating a project with a pblock drawn in SLR2 and when i implement it , I am getting the following errors in the place design stage :
ERROR: (DRC HDPR-6) Logic illegally placed: Cell 'WRAPPER_INST/SH/SH/RC_AXISTR_REG_SLICE/inst/axisc_register_slice_0/gen_AB_reg_slice.payload_a_reg(186)' is placed at site 'SLICE_X159Y249' which belongs to reconfigurable Pblock 'pblock_SH'. This cell is not part of the reconfigurable logic assigned to this Pblock, and should not be placed at this site
ERROR: (DRC HDPR-12) A Partition Pblock cannot reference more than one partition: The Pblock 'pblock_SLR2' references more than one partition instance. The Pblock references both partition instance 'WRAPPER_INST/CL' and partition instance 'WRAPPER_INST/SH'.

I have created the Pblock through following commands in xdc(cl_pnr_usr.xdc) file
create_pblock pblock_SLR2
resize_pblock (get_pblocks pblock_SLR2) -add {CLOCKREGION_X0Y11:CLOCKREGION_X5Y14}
resize_pblock (get_pblocks pblock_SLR2) -add {CLOCKREGION_X0Y10:CLOCKREGION_X2Y10}
set_property PARENT pblock_CL (get_pblocks pblock_SLR2)

Can anyone please suggest what is going wrong which is producing this error?

prigish
asked 5 years ago433 views
6 Answers
0

Hi Prigish,

We are checking on this and we will get back to you soon.

Thanks,
Sunil.

AWS
answered 5 years ago
0

Hello all,

I think we need more information to investigate this issue. First, if we could obtain the constraints file(s) that define these elements that would be helpful.

Specific questions to ask are:

  • Where do you intend the element in question (payload_a_reg(186)) to reside? Is the register supposed to be a part of the static pblock, or a different RP pblock?
  • Pblock_SH is not indicated in the constraints you listed, so we would need to know about this as well.

OK, if you could provide this information we would appreciate it.

Best regards,
Scott

answered 5 years ago
0

Hi Scott,

You can find the constraints (given by us) file through the following link:
https://drive.google.com/open?id=10B-RmWEuVcFMWdoXb59eZJawUu30oaZd

We have not given any constraints for pblock_SH.Those are automatically generated by AWS scripts.

prigish
answered 5 years ago
0

Hi Scott,

We faced another problem too during floorplanning in SLR2.
We gave following commands in the xdc file :
create_pblock pblock_SLR2
resize_pblock (get_pblocks pblock_SLR2) -add {CLOCKREGION_X0Y10:CLOCKREGION_X5Y14}
set_property PARENT pblock_CL (get_pblocks pblock_SLR2)

During the place design stage, it throws the following error :
(DRC HDPR-14)Nested Partition Pblocks must have a common ancestor Pblock: The Pblock 'pblock_CL' and Pblock 'pblock_BA452_top_0' do not have a common ancestor for the HD.RECONFIGURABLE partition 'WRAPPER_INST/CL'.
(DRC HDPR-66) Reconfigurable Pblock must not overlap other pblocks.: HD.RECONFIGURABLE Pblock 'pblock_CL' and Pblock 'pblock_BA452_top_0' overlap. Please re-floorplan Pblocks to ensure that reconfigurable pblocks don't have overlap with other rm or static pblocks.

Can you please take a look into this issue too please?
Currently we are using only the below mentioned portion of SLR 2:
resize_pblock (get_pblocks pblock_SLR2) -add {CLOCKREGION_X0Y11:CLOCKREGION_X5Y14}
resize_pblock (get_pblocks pblock_SLR2) -add {CLOCKREGION_X0Y10:CLOCKREGION_X2Y10}

Can you please suggest what needs to be done to overcome this?

prigish
answered 5 years ago
0

Hello,

Thank you for providing the constraints, that helps. I think for the first error, this looks to be valid, and that your reconfigurable partition boundaries are overlapping the AWS static partition. I am not sure of those boundaries, so was wondering if you could provide a complete set of constraints excluding the timing ones. You can do that with the following command:

“write_xdc -exclude_timing netlist_constraints.xdc”.

This should give us the entire PBLOCK structure.

For the second error, this may be due to a known issue with parent/child blocks and snapping mode. The workaround for that issue is to set the SNAPPING_MODE to ON. Can you try that to see if it helps with your design?

OK, thank you,
Scott

answered 5 years ago
0

Thanks for the response Scott.

Actually I was able to get through the first error.
There was a "register slice 0" mentioned in my XDC file which was causing the trouble because it was being referenced both by pblock_CL and pblock_SH .
So I changed the name in the XDC file and that problem got solved.

Regarding the second problem, I will try your recommendation(SNAPPING mode ON) and will update you with the results.

Thanks
Prigish

prigish
answered 5 years ago

You are not logged in. Log in to post an answer.

A good answer clearly answers the question and provides constructive feedback and encourages professional growth in the question asker.

Guidelines for Answering Questions