TIG_SRAI clock constraints in pnr_user.xdc

0

Hey guys,

I was wondering about the provenance of these three constraints that appear in some but not all of the example designs. Under what circumstances are they required? In my designs, now based off cl_dram_dma, (which has them commented out)https://github.com/aws/aws-fpga/blob/v1.4.20/hdk/cl/examples/cl_dram_dma/build/constraints/cl_pnr_user.xdc#L64), only the latter two correctly resolve.

set_clock_groups -name TIG_SRAI_1 -asynchronous -group \[get_clocks -of_objects \[get_pins static_sh/SH_DEBUG_BRIDGE/inst/bsip/inst/USE_SOFTBSCAN.U_TAP_TCKBUFG/O]] -group \[get_clocks -of_objects \[get_pins SH/kernel_clks_i/clkwiz_sys_clk/inst/CLK_CORE_DRP_I/clk_inst/mmcme3_adv_inst/CLKOUT0]]
set_clock_groups -name TIG_SRAI_2 -asynchronous -group \[get_clocks -of_objects \[get_pins static_sh/SH_DEBUG_BRIDGE/inst/bsip/inst/USE_SOFTBSCAN.U_TAP_TCKBUFG/O]] -group \[get_clocks drck]
set_clock_groups -name TIG_SRAI_3 -asynchronous -group \[get_clocks -of_objects \[get_pins static_sh/SH_DEBUG_BRIDGE/inst/bsip/inst/USE_SOFTBSCAN.U_TAP_TCKBUFG/O]] -group \[get_clocks -of_objects \[get_pins static_sh/pcie_inst/inst/gt_top_i/diablo_gt.diablo_gt_phy_wrapper/phy_clk_i/bufg_gt_userclk/O]]

Can i safely remove all three?

已提问 3 年前183 查看次数
3 回答
0

Hello,

Thanks for bringing this to our attention. We will look into this issue and confirm the correct action.

-Chakra

AWS
已回答 3 年前
0

Hey Chakra, any word on this?

已回答 3 年前
0

Hello,

Yes, we verified that it is safe to remove these three constraints from cl_pnr_user.xdc.

We will soon update the github page reflecting this change for all the CLs. In the mean time please remove these constraints for your CL builds.

Thanks!
Chakra.

AWS
已回答 3 年前

您未登录。 登录 发布回答。

一个好的回答可以清楚地解答问题和提供建设性反馈,并能促进提问者的职业发展。

回答问题的准则

相关内容